CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 0-9999 计数器

搜索资源列表

  1. SEG2

    0下载:
  2. *动作:四位数扫描计数器,以十进制显示0000~9999 *接线:Data=七段显示器低电位输出=pgfedcba * 低电位扫描:S3~0=千、百、十、个位数 *硬件:SW1-1(SEG7)ON -动作:四位数扫描计数器,以十进制显示0000~9999 *接线:Data=七段显示器低电位输出=pgfedcba * 低电位扫描:S3~0=千、百、十、个位数 *硬件:SW1-1(SEG7)ON
  3. 所属分类:SCM

    • 发布日期:2017-11-28
    • 文件大小:782
    • 提供者:smallcat
  1. final

    0下载:
  2. 频率计设计的各个模块连接的总程序,即把分频器、控制器、计数器、闸门控制、锁存器、显示器都连接起来,测试频率范围为:10Hz~100MHz 第一档:闸门时间为1S时,最大读数为999.999KHz 第二档:闸门时间为0.1S时,最大读数为9999.99KHz 第三档:闸门时间为0.01S时,最大读数为99999.9KHz。 用六位BCD七段数码管显示读数。-The various modules connected to the total program, frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:725
    • 提供者:李雪
  1. cfm_count

    0下载:
  2. 程序可以完成以下功能: (1)本程序以stc12c5410ad为控制器而设计 (2)本程序可以完成计数功能,最大值为9999。 (3)计数接口采用stc12c5410ad的第13个引脚,即P2.5。 (4)P2.5平时为高电平,当P2.5变为低电平,且低电平时间超过907us时,则计数器加1。 (5)本程序具有计时功能,当单片机上电复位之后开始计时,时间以秒的形式显示出来。 计时最大值为9999s。 (6)四个数码管显示计数值,当按下KEY3键时,显示时间,即单片机
  3. 所属分类:SCM

    • 发布日期:2017-11-14
    • 文件大小:32552
    • 提供者:cfm
  1. S2_counter_NEW

    0下载:
  2. 设计一个以十进制为基础的计数器,实现从 0 开始的计数功能;本实验主要是利用开发板上面的数码管实现一个十进制计数器的功能,计数范围0000-9999,可实现循环计数。先输入verilog 程序,然后在 QuartusII 中做波形仿真,通过后下载程序在数码管上查看计数器的功能。-Designing a decimal-based counters, a zero-counting function this experiment is the use of digital control b
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:111952
    • 提供者:赵厉
  1. jsq

    0下载:
  2. 4位数的计数器源程序,可以从0一直9999,按键盘上的K3键计数,开机显示-4 digit counter source program, 0 until 9999, press the K3 key on the keyboard count, boot display 0000
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1567
    • 提供者:liuting
  1. demo3-seg2_vhdl

    0下载:
  2. ep1c3-seg1_vhdl,7段数码管实验2:递增方式在4位数码管上向上计数显示从0000-0001->0002……..9999….0000….0001…. 设计了一个4位十进制计数器,并用数码管显示当前计数值-ep1c3-seg1 vhdl, 7-segment LED Experiment 2: incrementally on four digital display counts up 0000-0001-> 0002 ...... ..9999 ... ...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:170644
    • 提供者:davidobt
  1. SegSimplified

    0下载:
  2. 本工程使用verilog HDL和vivado2014集成开发环境实现利用xilinx Basys3开发板上4位数码管显示从0到9999的计数器功能。-This project uses verilog HDL to realise counting 0 to 9999 on the 7-seg LED loaded on Xilinx Basys3 board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1210806
    • 提供者:姚罡
« 1 2 3»
搜珍网 www.dssz.com